Implementation of Machine Learning in VLSI Integrated Circuit Design

  • Original Research
  • Published: 04 January 2023
  • Volume 4 , article number  137 , ( 2023 )

Cite this article

research paper topics related to vlsi

  • S. Shreyanth   ORCID: orcid.org/0000-0002-9991-5491 1 , 4 ,
  • D. S. Harshitha   ORCID: orcid.org/0000-0003-4041-4243 2 &
  • S. Niveditha   ORCID: orcid.org/0000-0003-3377-7345 3  

308 Accesses

Explore all metrics

Machine learning has made an impact on the area attributed to microchip, and it is initially used in automation. These techniques will eventually supplant the current VLSI design concept. Design creation has been automated by substituting time-consuming traditional concepts developed by experts. This development could result in a tremendous change in the realm of hardware computation and AI’s powerful analysis tools. As a result, during the last four decades, several tasks have been computerized, and a plethora of sophisticated tasks have been mechanized. And then if someone has invented a new concept (in terms of computing, analyzing, optimization, and inter-relationship manufacturing) and the invention process is computerized, major firms like IBM and Intel have their own CAD division to handle these issues for design automation. Several firms, including major electronic design automation company, sell CAD software’s based on the employment of intelligent tools at circuit development. ML had broadened the present reach by assisting with plausible solutions for an extensive kind on the subject problems as well as challenges in a different range of technical domains. Importance of machine learning in the EDA solutions industry has increased its capabilities by lowering the man-hours spent on design confirmation and its implementation, cost reductions, and design product productivity. In this article we have discussed the importance of the application of machine learning in VLSI chip design and development and how we implemented ML-oriented BIST.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price includes VAT (Russian Federation)

Instant access to the full article PDF.

Rent this article via DeepDyve

Institutional subscriptions

research paper topics related to vlsi

Similar content being viewed by others

research paper topics related to vlsi

A Preliminary Taxonomy for Machine Learning in VLSI CAD

research paper topics related to vlsi

Machine Learning Perspective in VLSI Computer-Aided Design at Different Abstraction Levels

Machine learning in physical verification, mask synthesis, and physical design, data availability statement.

The data that support the findings of this study are available from the corresponding author upon reasonable request.

Wang L, Luo M. Machine learning applications and opportunities in ic design flow. In: 2019 international symposium on VLSI design, automation and test (VLSI-DAT). 2019. p. 1–3. https://ieeexplore.ieee.org/abstract/document/8742073 . Accessed 29 June 2022.

Yi H, Shiyu S, Xiusheng D, Zhigang C. A study on deep neural networks framework. In: 2016 IEEE advanced information management, communicates, electronic and automation control conference (IMCEC). 2016. p. 1519–22. https://ieeexplore.ieee.org/abstract/document/7867471 . Accessed 30 June 2022.

Helbert JN. Handbook of VLSI microlithography. Cambridge University Press. 2001. https://books.google.co.in/books?hl=en&lr=&id=kPIpg6plqnYC&oi=fnd&pg=PP2&dq=%09J.+N.+Helbert,+Handbook+of+VLSI+microlithography.+Cambridge+University+Press,+2001.&ots=RwlNZMqrXf&sig=YvpQ2TVv1StOQQSN3JZ3YSyeOIw&redir_esc=y#v=onepage&q=J.%20N.%20Helbert%2C%20Handbook%20of%20VLSI%20microlithography.%20Cambridge%20University%20Press%2C%202001.&f=false . Accessed 02 July 2022.

Lin Y, Pan DZ. Machine learning in physical verification, mask synthesis, and physical design. In: Machine learning in VLSI computer-aided design. Cham: Springer. 2019. p. 95–115. https://doi.org/10.1007/978-3-030-04666-8_4 . Accessed 04 July 2022.

Zhuo C, Yu B, Gao D. Accelerating chip design with machine learning: From pre-silicon to post-silicon. In: 2017 30th IEEE international system-on-chip conference (SOCC). 2017. p. 227–32. https://ieeexplore.ieee.org/abstract/document/8226046 . Accessed 08 July 2022.

Shim S, Choi S, Shin Y. Machine learning for mask synthesis. In: Machine learning in VLSI computer-aided design. Springer. 2019. p. 69–93. https://doi.org/10.1007/978-3-030-04666-8_3 . Accessed 12 July 2022.

Chan TB, Kahng AB, Li J, Nath S. Optimization of overdrive signoff. In: 18th Asia and South Pacific design automation conference (ASP-DAC). 2013. IEEE. p. 344–9. https://ieeexplore.ieee.org/abstract/document/6509619 . Accessed 17 July 2022.

Bian S, Hiromoto M, Shintani M, Sato T. Lsta: learning-based static timing analysis for high-dimensional correlated on-chip variations. In: 2017 54th ACM/EDAC/IEEE design automation conference (DAC). 2017. p. 1–6. https://doi.org/10.1145/3061639 .3062280. Accessed 25 July 2022.

Kahng AB. Machine learning applications in physical design: recent results and directions. In: Proceedings of the 2018 international symposium on physical design. 2018. p. 68–73. https://doi.org/10.1145/3177540.3177554 . Accessed 02 Aug 2022.

Ward S, Ding D, Pan DZ. PADE: a high-performance placer with automatic datapath extraction and evaluation through high-dimensional data learning. In: DAC design automation conference. IEEE. 2012. p. 756–61. https://ieeexplore.ieee.org/abstract/document/6241590 . Accessed 05 Aug 2022.

Khailany B, Ren H, Dai S, Godil S, Keller B, Kirby R, Klinefelter A, Venkatesan R, Zhang Y, Catanzaro B, Dally WJ. Accelerating chip design with machine learning. IEEE Micro. 40(6):23–32. 2020. https://ieeexplore.ieee.org/abstract/document/9205654 . Accessed 11 Aug 2022.

Kahng AB. New directions for learning-based IC design tools and methodologies. In: 2018 23rd Asia and South Pacific design automation conference (ASP-DAC). IEEE. 2018. p. 405–10. https://ieeexplore.ieee.org/abstract/document/8297357 . Accessed 14 Aug 2022.

Xie Z, Huang Y-H, Fang G-Q, Ren H, Fang S-Y, Chen Y, Hu J. RouteNet: routability prediction for mixed-size designs using convolutional neural network. In: IEEE/ACM international conference on computer-aided design (ICCAD). 2018. p. 1–8. https://ieeexplore.ieee.org/abstract/document/8587655 . Accessed 18 Aug 2022.

Qi Z, Cai Y, Zhou Q. Accurate prediction of detailed routing congestion using supervised data learning. In: 2014 IEEE 32nd international conference on computer design (ICCD). 2014. p. 97–103. https://ieeexplore.ieee.org/abstract/document/6974668 . Accessed 24 Sept 2022.

Chan WJ, Du Y, Kahng AB, Nath S, Samadi K. Beol stack-aware routability prediction from placement using data mining techniques. In: 2016 IEEE 34th international conference on computer design (ICCD). 2016. p. 41–8. https://ieeexplore.ieee.org/abstract/document/7753259 . Accessed 27 Sept 2022.

Kirby R, Godil S, Roy R, Catanzaro B. Congestionnet: routing congestion prediction using deep graph neural networks. In: IFIP/IEEE 27th international conference on very large-scale integration (VLSI-SoC). 2019. p. 217–22. https://ieeexplore.ieee.org/abstract/document/8920342 . Accessed 23 Aug 2022.

Kahng AB, Luo M, Nath S. SI for free: machine learning of interconnect coupling delay and transition effects. In: ACM/IEEE international workshop on system level interconnect prediction (SLIP). 2015. p. 1–8. https://ieeexplore.ieee.org/abstract/document/7171706 . Accessed 29 Aug 2022.

Fagot C, Girard P, Landrault C. On using machine learning for logic BIST. In: Proceedings international test conference. 1997. p. 338–46. https://ieeexplore.ieee.org/document/639635 . Accessed 02 Sept 2022.

Yu B, Pan DZ, Matsunawa T, Zeng X. Machine learning and pattern matching in physical design. In: The 20th Asia and South Pacific design automation conference. 2015. p. 286–93. IEEE. https://www.cerc.utexas.edu/utda/publications/C168.pdf . Accessed 13 Sept 2022.

Agrawal VD, Kime CR, Saluja KK. A tutorial on built-in self-test, part 1: principles. Des Test Comput IEEE. 10(1):73–82. 1993. https://ieeexplore.ieee.org/abstract/document/199807 . Accessed 17 Sept 2022.

Download references

The implementation and the paper are solely funded from the savings of all the authors and there is no funding information to this paper.

Author information

Authors and affiliations.

Department of Electronics and Communication Engineering, Anna University, Chennai, Tamil Nadu, 600025, India

S. Shreyanth

Data Scientist, Indium Software India Pvt Ltd, Bengaluru, Karnataka, 560016, India

D. S. Harshitha

Department of Biotechnology, Rajalakshmi Engineering College, Chennai, Tamil Nadu, 602105, India

S. Niveditha

Data Science and Engineering, Birla Institute of Technology and Science, Pilani, Rajasthan, 333031, India

You can also search for this author in PubMed   Google Scholar

Corresponding author

Correspondence to S. Shreyanth .

Ethics declarations

Conflict of interest.

On behalf of all authors, the corresponding author states that there is no conflict of interest.

Additional information

Publisher's note.

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

This article is part of the topical collection “Advances in Computational Intelligence for Artificial Intelligence, Machine Learning, Internet of Things and Data Analytics” guest edited by S. Meenakshi Sundaram, Young Lee and Gururaj K S.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Shreyanth, S., Harshitha, D.S. & Niveditha, S. Implementation of Machine Learning in VLSI Integrated Circuit Design. SN COMPUT. SCI. 4 , 137 (2023). https://doi.org/10.1007/s42979-022-01580-5

Download citation

Received : 07 November 2022

Accepted : 16 December 2022

Published : 04 January 2023

DOI : https://doi.org/10.1007/s42979-022-01580-5

Share this article

Anyone you share the following link with will be able to read this content:

Sorry, a shareable link is not currently available for this article.

Provided by the Springer Nature SharedIt content-sharing initiative

  • Machine learning
  • Integrated circuits
  • Convolution neural network
  • Hardware computation
  • Optimization

Advertisement

  • Find a journal
  • Publish with us
  • Track your research
  • Technologies
  • Privacy Policy

Ieee Xpert ,Ieee Xpert, ieee vlsi , ns2 , matlab , communication , java , dotnet , android , image processing projects titles 2016 2017 for mtech btech ece cse it mechanical final year students

Latest Research topics in vlsi design

Latest research topics in vlsi design.

VLSI PHD RESEARCH

If we narrow down our discussion to research in areas like electronics, electrical, computer science, artificial intelligence , wireless communication and related fields, which are the base of everything in this high-tech world. In these fields researchers have developed applications (aided with technology) for every field ranging from biomedical to aerospace and construction, which were nowhere related to electronics or even current.

As the research fields we are talking about are providing base to the developing world and providing it with reliable technologies which are being used in real time, the work of researcher becomes more wide starting with an idea to the realization of the idea in the real world in form of application or product.

To make a reliable and working model the idea of the VLSI design project ( i.e speech processing application, biomedical monitoring system etc) needs to be implemented and re-implemented, re-tested and improvised. The there are many development cycles and techniques available which eases up the implementation like:

  • Behavioral simulation
  • Software based model
  • Hardware Implementation (ASIC)
  • Programmable hardware (FPGA)
  • Co-simulation

Behavioral simulation is used at initial phase and it is not appropriate for testing the real time behavior of the system in actual environment as it is more close to systems behavior in ideal environment.

We can simulate the actual environment by using different software models (more like software models of channels used to test communication systems) but its capabilities are also limited to human capability to model the environmental conditions in mathematical equations and models.

All of us are familiar with ASIC, their high performance and hardwired implementation. These are good for final implementation but not for intermediate stages of implementation and testing. Nothing is better than ASIC for real time testing of analog  VLSI  circuits. But for digital circuits and DSP applications we have a better option of FPGA (Field Programmable Gate Array).

The hardware co-simulation is a good idea to test and monitor systems in real time. To get more details about  PhD thesis  in VLSI you can do online research or contact us.

latest Low power research topics in vlsi design

The Research Support Centre provides expert advice and support across the whole Engineering and Technical research lifecycle, from discovery through exploitation of technical and translational research. The centre has two primary functions:

  • i) to facilitate the delivery of the Engineering Sciences research strategy and to build partnerships andii) to bring together all the technical research management and support services for Students.

To achieve these goals the centre is made up of two inter-relating components. The Academic Research Support Centre consists of the Research Coordination Office, Platform Technologies team and a Translational Research Office. The Technical Research Support Centre is made up of the Joint Research Office.

The Research Support Centre encompasses a wide range of expertise and facilities. By coordinating these resources, we can provide researchers with a package of support that is integrated, high quality and streamlined – and clearly accountable.

Once a researcher has a proposal for high quality research that will benefit, they can access all the help and resources they need through one gateway. This includes support with the approval process and funding applications and help setting up technical trials.

VLSI PHD Projects

Our research interests cover low power processor architectures, low power circuit design techniques, analog and mixed signal circuit design, rapid prototyping of digital systems, reconfigurable processors, Digital arithmetic, advanced processor architectures, vlsi implementation of signal and image processing algorithms, testing verification, memory design, Embedded vlsi and asynchronous circuits.

Organization engaged with embedded commodity development and serving various business solutions such as

  • Embedded System Product Development,
  • Software services,
  • Android development,
  • Web development.

Description for “Ph.d guidance with project assitance” Ph.d/ M.Phil PROJECT ASSISTANCE We look forward to welcoming you to one of our “Research and Development Division” for all Ph.D., Research scholars. We will arrange you the following details for completing your Ph.d Degree

  • Any University Admission- We provides a step-to-step guide to completing the application form, and will help make the process as straight forward as possible.
  • Guide Arrangement
  • Survey Paper Preparation
  • Problem Identification –Problem Identification of Existing System.
  • Implementation in all domains
  • Mobile Ad hoc Networks
  • Wireless Networks
  • Image Processing
  • Grid Computing
  • Distributed Computing
  • Natural Language Processing
  • Cloud Computing
  • Soft Computing
  • Data Mining
  • Wireless Senor Networks

Delivering effective support on your Ph. D work:

Companies represents a simple and practical advice on the problems of getting started, getting organized with the working on Ph.D projects.

We make you understand the practicalities of surviving the ordeal. We just make you divide the huge task into less challenging pieces. The training includes a suggested structure and a guide to what should go in each section.

We afford complete support with real-time exposure in your Ph.D works in the field of VLSI. Our Mission drives us in the way of delivering applications as well as products with complete integrity, innovative & interesting ideas with 100% accuracy.

  • Assistance in ALL Stages of your PhD Research in VLSI from Topic Selection to Thesis Submission.
  • Creating 100% confident in submitting your thesis work.
  • Our experienced professionals support you in your research works.
  • Providing complete solutions for the Research Scholars in many advanced domains.

Technologies used in VLSI:

  • Modelsim 6.5b Simulator
  • Xilinx ISE 10.1 System generator

III. Quartus 11.1

  • Tanner v7 EDA tool

iii.        W-Edit

  • Microwind & DSCH v2

VII. P-spice

VIII. LT-spice

.        Spartan IIIe

  • Hardware Description Language

.         Verilog HDL

CORE AREA OF GUIDANCE:

  • Digital signal processing Vlsi
  • Image processing Vlsi

III.        Wireless Vlsi

  • Communication Vlsi
  • Testing Vlsi
  • Digital cmos Vlsi

VII.        low power Vlsi

VIII.        Core Vlsi

  • Memory Designs

PROJECT SUPPORT:

  • Confirmation Letter
  • Attendance Certificate

III. Completion Certificate

Preprocessing Work:

  • Paper Selection

Identifying the problem:

  • Screenshots

III.        Simulation Report

  • Synthesize Report

Report Materials:

  • Block Diagrams
  • Review Details

III.        Relevant Materials

  • Presentation
  • Supporting Documents
  • Software E-Books

VII.        Software Development Standards & Procedure – E-Book

Learning Exposure:

VIII.        Programming classes

  • Practical training
  • Project Design & Implementation

Publishing Support:

XII.        Conference Support

XIII.        Journal Support

XIV.        Guide Arrangements

Vlsi based projects like image processing projects, low power projects, matlab with vlsi projects , cryptography projects, OFDM projects, SDR projects, communication projects, zigbee projects, digital signal processing projects, and also protocol interfacing projects like uart ,i2c,spi projects.

Signal and Image processing projects can be simulated by using Modelsim 6.5b and synthesized by Xilinx 10.1 using Spartan IIIe fpga and by Quartus 11.1using altera de2 fpga. In image processing projects, the input image or video can be converted to coefficients using Matlab. Low power projects can be designed using Tanner, Microwind and spice tools.

We spotlights on imparting an overall exposure to the concept and design methodologies of all major aspects of vlsi engineering relevant to industry needs and ground-breaking thoughts with 100% pure accuracy.

latest research topics in vlsi design latest research topics in vlsi design latest research topics in vlsi design latest research topics in vlsi design latest research topics in vlsi design latest research topics in vlsi design latest research topics in vlsi design latest research topics in vlsi design latest research topics in vlsi design latest research topics in vlsi design  low power testing bist latest research topics in vlsi design latest latest research topics in vlsi design area latest research topics in vlsi design latest research topics in vlsi design latest research topics in vlsi design latest research topics in vlsi design latest research topics in vlsi design latest research topics in vlsi design

1star

vlsi testing Recently Published Documents

Total documents.

  • Latest Documents
  • Most Cited Documents
  • Contributed Authors
  • Related Sources
  • Related Keywords

Embedded Radiation sensor with OBIST structure for applications in mixed signal systems

Oscillation based testing (OBT) has proven to be a simple and effective test strategy for numerous kind of circuits. In this work, OBT is applied to a radiation sensor to be used as a VLSI cell in embedded applications, implementing an oscillation built-in self-test (OBIST) structure. The oscillation condition is achieved by means of a minimally intrusive switched feedback loop and the response evaluation circuit can be included in a very simple way, minimizing the hardware overhead. The fault simulation indicates a fault coverage of 100% for the circuit under test.Keywords: fault simulation, mixed signal testing, OBIST, oscillation-based test, VLSI testing.

Implementation of a Parallel Fault Simulation System using PODEM in a Hardware Accelerator using Python

VLSI Testing is one of the essential domains in recent times. With the channel length of the transistor decreasing continually, the number of transistors in a chip increases, thus increasing the probability of defects or faults. Automatic Test Pattern Generator is one way to find such input test vectors to the circuit, which will help identify the faults if present. PODEM algorithm is one such algorithm used in this regard. This paper helps in reducing the runtime of this algorithm by the parallelism approach. Different stuck-at faults in the gate level circuit are simulated parallelly.

AI-Powered Terahertz VLSI Testing Technology for Ensuring Hardware Security and Reliability

A low-power true single phase clock scan cell design for vlsi testing, ai powered thz vlsi testing technology, methods of automated test solutions design for vlsi testing, covert gates: protecting integrated circuits with undetectable camouflaging.

Integrated circuit (IC) camouflaging has emerged as a promising solution for protecting semiconductor intellectual property (IP) against reverse engineering. Existing methods of camouflaging are based on standard cells that can assume one of many Boolean functions, either through variation of transistor threshold voltage or contact configurations. Unfortunately, such methods lead to high area, delay and power overheads, and are vulnerable to invasive as well as non-invasive attacks based on Boolean satisfiability/VLSI testing. In this paper, we propose, fabricate, and demonstrate a new cell camouflaging strategy, termed as ‘covert gate’ that leverages doping and dummy contacts to create camouflaged cells that are indistinguishable from regular standard cells under modern imaging techniques. We perform a comprehensive security analysis of covert gate, and show that it achieves high resiliency against SAT and test-based attacks at very low overheads. We also derive models to characterize the covert cells, and develop measures to incorporate them into a gate-level design. Simulation results of overheads and attacks are presented on benchmark circuits.

A heuristic fault based optimization approach to reduce test vectors count in VLSI testing

A comprehensive review on applications of don’t care bit filling techniques for test power reduction in digital vlsi systems.

Massive power consumption during VLSI testing is a serious threat to reliability concerns of ubiquitous silicon industry. A significant amount of low-power methodologies are proposed in the relevant literature to address this issue of test mode power consumption and don’t care bit(X) filling approaches are one of them in this fraternity. These don’t care(X) bit filling techniques have drawn the significant attention of industry and academia for its higher compatibility with existing design flow as neither modification of the CUT is required nor they need to rerun the time-consuming ATPG process. This paper presents an empirical survey of those X-bit filling techniques, applied to mitigate prime two types of dynamic power dissipation namely shift power and capture power, occurred during full scan testing.

VLSI Testing

Export citation format, share document.

VLSI 2020: IBM Research highlights nanosheet, AI processor and photonics advances

At the 2020 Symposia on VLSI Technology and Circuits this week, IBM Research is presenting a variety of papers, short courses, workshops and virtual sessions that demonstrate the latest advances in systems research. Our research spotlights key developments for hybrid cloud infrastructure and AI , marked by improvements in performance, energy efficiency, area scaling, and new workloads.

At VLSI’s first-ever virtual conference, IBM researchers are presenting their work on a universal air spacer compatible with different transistor architectures, whether it’s a fin field-effect transistor (FinFET) or a Nanosheet device architecture. Another team of IBM researchers demonstrates a new AI processor core design resulting in hardware utilization improvements that led to notable enhancements in training efficiency and performance. In a third paper, researchers focused on faster silicon photonics-based network switching, with one goal of eventually making these networks more useful for data centers.

research paper topics related to vlsi

The new air spacer design, taken by a transmission electron microscope.

In their paper, “Improved Air Spacer Co-Integrated with Self-Aligned Contact (SAC) and Contact Over Active Gate (COAG) for Highly Scaled CMOS Technology,” IBM researchers described how the new air spacer reduces effective capacitance – a critical factor impacting the characteristics of CMOS devices – by 15 percent through a reduction in the air spacer’s dielectric constant, leading to performance gains and power reductions at the same time. Although SAC and COAG have been adopted in FinFET technology to reduce the footprint of transistors and standard cells, co-integrating air spacers with SAC and COAG has been challenging.

The spacer is an isolation layer between a gate and the contacts for source and drain in the transistor – essentially, an electronic switch. When the gate is on, electricity flows from the source to the drain, and the gate serves as a valve. The spacer ensures the gate controls only the flow and that the gate and the source and drain are electrically isolated. Without the spacer, the gate cannot serve as a valve.

Researchers positioned their improved air spacer as a viable approach to enhance energy efficiency and performance of advanced CMOS technology by reducing parasitic capacitance, the unwanted capacitance between the parts of an electronic component or circuit due to their proximity to one another.

The paper introduces a new process to form air spacers and provides a practical approach to enabling an electronic device to consume less power while achieving better performance. Excitingly, introducing the new air spacer module into 7nm FinFET produces more performance gains than more costly and disruptive scaling of FinFET to 5nm. The researchers expect their work will help pave the way for their technology’s adoption in FinFET and NanoSheet transistors in the coming years.

Paper authors: Kangguo Cheng, Chanro Park, Heng Wu, Juntao Li, Son Nguyen, Jingyun Zhang, Miaomiao Wang, Sanjay Mehta, Zuoguang Liu,  Richard Conti, Nicolas Loubet, Julien Frougier, Andrew Greene, Tenko Yamashita, Bala Haran, Rama Divakaruni

AI Processor Core

research paper topics related to vlsi

The Digital AI Core with heterogeneous compute engines, featuring dual corelet architecture, shared L1 scratchpad, and memory neighbor interface.

A worldwide team of IBM researchers described a hardware demonstration of a processor core that can be applied to both AI training and inference applications in their paper, “A 3.0 TFLOPS 0.62V Scalable Processor Core for High Compute Utilization AI Training and Inference.” The researchers achieved leading-edge compute efficiency for robust AI computations via efficient heterogeneous 2-D systolic array-SIMD (single instruction, multiple data) compute engines leveraging compact DLFloat16 Floating Point Units (FPUs). DLFloat is a 16-bit floating point format designed by IBM for deep learning training and inference.

For this study, the researchers optimized a Gen 1 core they first published in 2018, focusing on circuit design, architecture, and software enhancements to produce testchips with Gen 2 cores. This updated Gen 2 design features two corelets working in parallel and sharing memory to facilitate efficient computations. The resulting Gen 2 testchip achieved 5.5x power-efficiency improvements over their Gen 1 testchip for Deep Learning training and inference workflows while using a smaller supply voltage than their first-generation core. Each of the two corelets in the new design has 64 processing elements (each with multiple FPUs) that perform convolution and matrix multiplication operations, which is greater than 80 percent of overall workload in deep learning.

This advancement is part of the Digital AI Core accelerator research in the  IBM Research AI Hardware Center . AI hardware accelerators can be used for building and deploying neural network models  for applications such as speech recognition, natural language processing and computer vision. This latest chip focuses on 16-bit training and inference, but the researchers have also published progress towards   8 bit training  and  inference as low as 2 bits .

Paper authors: Jinwook Oh, SaeKyu Lee, Mingu Kang, Matthew Ziegler, Joel Silberman, Ankur Agrawal, Swagath Venkataramani, Bruce Fleischer, Michael Guillorn, Jungwook Choi, WeiWang, Silvia Mueller, Shimon Ben-Yehuda, James Bonanno, Nianzheng Cao, Robert Casatuta, Chia-Yu Chen, Matt Cohen, Ophir Erez, Thomas Fox, George Gristede, Howard Haynie, Vicktoria Ivanov, Siyu Koswatta, Shih-Hsien Lo, Martin Lutz, Gary Maier, Alex Mesh, Yevgeny Nustov, Scot Rider, Marcel Schaal, Michael Scheuermann, Xiao Sun, Naigang Wang, Fanchieh Yee, Ching Zhou, Vinay Shah, Brian Curran, Vijayalakshmi Srinivasan, Pong-Fei Lu, Sunil Shukla, Kailash Gopalakrishnan, Leland Chang

Silicon Photonics

research paper topics related to vlsi

The silicon photonics switch module.

In the paper, “A Monolithically Integrated Silicon Photonics 8×8 Switch in 90nm SOI CMOS,” IBM researchers from the U.S. and Canada presented a silicon photonics-based network switch integrated with switching and control electronics. Silicon photonics, an evolving technology in which optical rays transfer data between computer chips, provides an affordable way to build faster switches. Optical rays can carry far more data in less time than electrical conductors.

IBM researchers have created one of the best performing high speed photonic switches, closing the performance gap with packet switching, which the internet uses to send data as well as information about where the data should be delivered. They have also simplified many problems that arise when trying to build electronics and photonics on the same chip. Their goal is to include all of the necessary electronics in order to reduce the packaging load and make a switch that’s both easier to manufacture and more affordable to implement.

The new optical-based circuit switching technology enables switch reconfiguration times of less than 15 nanoseconds while avoiding the high power of more conventional packet-based electronic switches, which require optical-to-electronic domain conversion. The technology uses a scalable process with simple flip chip packaging. Flip chip is a method for interconnecting integrated circuit chips, microelectromechanical systems, or other semiconductor components to external circuitry.

Paper authors: Jonathan E. Proesel, Nicolas Dupuis, Herschel Ainspan, Christian W. Baks, Fuad Doany, Nicolas Boyer, Elaine Cyr, Benjamin G. Lee

Additional Works

Other accepted VLSI papers from IBM and AI Hardware Center members, in addition to those above, include:

“Selective Enablement of Dual Dipoles for Near Bandedge Multi-Vt Solution in High Performance FinFET and Nanosheet Technologies,” R. Bao, K. Watanabe, J. Zhang, H. Zhou, M. Sankarapandian, J. Li, S. Pancharatnam, P. Jamison, R. G Southwick, M. Wang, J. J Demarest, J. Guo, N. Loubet, V. Basker, D. Guo, V. Narayanan, B. Haran, H. Bu, M. Khare

“Si Incorporation Into AsSeGe Chalcogenides for High Thermal Stability, High Endurance and Extremely Low Vth Drift 3D Stackable Cross-point Memory,” H. Y. Cheng, I. T. Kuo, W C. Chien, C. W. Yeh, Y. C. Chou, N. Gong, L. Gignac, C. H. Yang, C. W. Cheng, C. Lavoie, M. Hopstaken, B. R. Bruce, L. Buzi, E. K. Lai, F. Carta, A. Ray, M. H. Lee, H. Y.Ho, W. Kim, M. BrightSky, H. L. Lung

“Structural and Electrical Demonstration of SiGe Cladded Channel for PMOS Stacked Nanosheet Gate-All-Around Devices,” S.Mochizuki, B.Colombeau, J.Zhang, S. C.Kung, M.Stolfi, H. Zhou, M. Breton, K. Watanabe, J. Li, H. Jagannathan, M.Cogorno, T.Mandrekar, P.Chen, N. Loubet, S.Natarajan, B.Haran

“Composite Interconnects for High-Performance Computing Beyond the 7nm Node” P. Bhosale, S. Parikh, N. Lanzillo, T. Nogami, R. Tao, M. Gage, R. Shaviv, A. Simon, M. Stolfi, S. Reidy, N.Loubet, B. Haran

“A no-verification Multi-Level-Cell (MLC) operation in Cross-Point OTS-PCM” N. Gong, W. Chien, Y. Chou, C. Yeh, N. Li, H. Cheng, C. Cheng, I. Kuo, C. Yang, R. Bruce, A. Ray, L. Gignac, Y. Lin, C. Miller, T. Perri, W. Kim, L. Buzi, H. Utomo, F. Carta, E. Lai, H. Ho, H. Lung, M. BrightSky

“A 25-50Gb/s 2.22pJ/b NRZ RX with Dual-Bank and 3-tap Speculative DFE for Microprocessor Application in 7nm FinFET CMOS” Y. You, G. Wiedemeier, C. Marquart, C. Steffen, E. English, De. Yilma, T. Pham, V. Nammi, J. Okyere, N. Blanchard, A. Sutton, Z. Zhang, D. Friend D. Barba, T. Bohlke, M. Spear, V. Raj, J. Crugnale, D. Dreps, P.A. Francese, M. Kossel, T. Morf

Additionally, at VLSI:

  • Alberto Valdes-Garcia will give an invited talk on “Hardware-Software Co-Integration for Configurable 5G mmWave Systems” (Circuits JFS2.1 session)
  • Mukta Farooq and Arvind Kumar will offer a short course on “ Heterogenous Integration Architectures for AI ”
  • Nicholas Loubet will offer a short course on “ Nanosheet Transistor as a Replacement of FinFET for Future Nodes: Device Advantages & Specific Process Elements ”
  • Mounir Meghelli will offer a short course on “ Advances and Trends in High-Speed Serial Links for High-Density IO Applications ”
  • Robert Bruce will offer a workshop presentation on “ Designing Material Systems and Algorithms for Analog Computing ”

These advances are part of IBM’s systems research group, which includes initiatives focusing on hybrid cloud, AI hardware, and exploratory science.

  • Kangguo Cheng

Advanced CMOS VLSI Technology for Low Power Analog System Design with High Gain

Ieee account.

  • Change Username/Password
  • Update Address

Purchase Details

  • Payment Options
  • Order History
  • View Purchased Documents

Profile Information

  • Communications Preferences
  • Profession and Education
  • Technical Interests
  • US & Canada: +1 800 678 4333
  • Worldwide: +1 732 981 0060
  • Contact & Support
  • About IEEE Xplore
  • Accessibility
  • Terms of Use
  • Nondiscrimination Policy
  • Privacy & Opting Out of Cookies

A not-for-profit organization, IEEE is the world's largest technical professional organization dedicated to advancing technology for the benefit of humanity. © Copyright 2024 IEEE - All rights reserved. Use of this web site signifies your agreement to the terms and conditions.

share this!

April 26, 2024 report

This article has been reviewed according to Science X's editorial process and policies . Editors have highlighted the following attributes while ensuring the content's credibility:

fact-checked

trusted source

Research investigates radio emission of the rotating radio transient RRAT J1854+0306

by Tomasz Nowakowski , Phys.org

Research investigates radio emission of the rotating radio transient RRAT J1854+0306

Using the Five-hundred-meter Aperture Spherical radio Telescope (FAST), Chinese astronomers have investigated radio emission from a rotating radio transient known as RRAT J1854+0306. Results of the study, published April 15 on the preprint server arXiv , shed more light on the properties of this transient.

Pulsars are highly magnetized, rotating neutron stars emitting a beam of electromagnetic radiation. They are usually detected in the form of short bursts of radio emission ; however, some of them are also observed via optical, X-ray and gamma-ray telescopes.

Rotating radio transients (RRATs) are a subclass of pulsars characterized by sporadic emission. The first objects of this type were identified in 2006 as sporadically appearing dispersed pulses, with frequencies varying from several minutes to several hours. However, the nature of these transients is still unclear. In general, it is assumed that they are ordinary pulsars that experience strong pulses.

So far, only slightly more than 100 RRATs have been found. Therefore, astronomers are interested in studying them in detail in order to improve our knowledge about their still largely unknown nature.

Discovered in 2009, RRAT J1854+0306 has a spin period of 4.56 seconds and dispersion measure of 192.4 pc/cm 3 . It exhibits occasional strong pulses and is among the strongest RRATs, which makes it possible to explore its emission details.

A team of astronomers led by Qi Guo of the Hebei Normal University in China conducted highly sensitive observations of RRAT J1854+0306 with the aim of investigating its polarized emission. For this purpose, they employed the central beam of FAST's 19-beam receiver with a frequency range of 1000 to 1500 MHz, which was divided into 2,048 channels.

The observations found that the emission from RRAT J1854+0306 is dominated by nulls with a nulling fraction of about 53.2%, which is interspaced by narrow (less than 1 degree) and weak (less than 0.5 mJy) pulses with occasional wide and intense bursts. It appears that individual pulses showcase diverse profile morphology, exhibiting single, double and multiple peaks.

According to the study, the pulses of RRAT J1854+0306 exhibit diverse polarization behaviors. Their degree of linear polarization can reach 100% for some pulses, and their circular polarization exhibits various senses and variation.

"These features are related to the density distribution of relativistic particles and their emission processes and/or caused by the propagation effects. For some pulses, the position angles depart a lot from the average one, which might be caused by emission generated from different plasma conditions of the magnetosphere," the authors of the paper explained.

All in all, based on the collected data, the researchers concluded that the behavior of polarized emission of RRAT J1854+0306 indicates that its emission originates from a magnetosphere similar to those of normal pulsars. This could have implications for the overall understanding of rotating radio transients, as the finding suggests that RRATs may have the same physical origins as normal pulsars.

Journal information: arXiv

© 2024 Science X Network

Explore further

Feedback to editors

research paper topics related to vlsi

Global study shows a third more insects come out after dark

3 hours ago

research paper topics related to vlsi

Cicada-palooza! Billions of bugs to blanket America

6 hours ago

research paper topics related to vlsi

Getting dynamic information from static snapshots

research paper topics related to vlsi

Ancient Maya blessed their ballcourts: Researchers find evidence of ceremonial offerings in Mexico

research paper topics related to vlsi

Optical barcodes expand range of high-resolution sensor

Apr 26, 2024

research paper topics related to vlsi

Ridesourcing platforms thrive on socio-economic inequality, say researchers

research paper topics related to vlsi

Did Vesuvius bury the home of the first Roman emperor?

research paper topics related to vlsi

Florida dolphin found with highly pathogenic avian flu: Report

research paper topics related to vlsi

A new way to study and help prevent landslides

research paper topics related to vlsi

New algorithm cuts through 'noisy' data to better predict tipping points

Relevant physicsforums posts, need help simplifying standard error formula for redshift, our beautiful universe - photos and videos.

Apr 25, 2024

Solar Activity and Space Weather Update thread

'devil' comet visible tonight 21.04.24, waves in space, documenting the setup of my new telescope.

Apr 24, 2024

More from Astronomy and Astrophysics

Related Stories

research paper topics related to vlsi

RRAT J1913+1330 is an extremely variable pulsar, study finds

Jun 13, 2023

research paper topics related to vlsi

Two new rotating radio transients discovered

Sep 13, 2023

research paper topics related to vlsi

Russian astronomers discover dozens of new pulsars

Jan 29, 2024

research paper topics related to vlsi

Single-pulse behavior of rotating radio transient PSR J0628+0909 explored with FAST

Dec 20, 2022

research paper topics related to vlsi

Five new rotating radio transients discovered

Aug 1, 2022

research paper topics related to vlsi

Two new rotating radio transients discovered by astronomers

Jul 6, 2022

Recommended for you

research paper topics related to vlsi

Researchers advance detection of gravitational waves to study collisions of neutron stars and black holes

research paper topics related to vlsi

Recently discovered black hole is part of a nearby disrupted star cluster, study finds

research paper topics related to vlsi

International team detects eruption of mega-magnetic star in nearby galaxy

research paper topics related to vlsi

Black hole 'traffic jams' discovered in galactic centers by astronomers

research paper topics related to vlsi

New evidence found for Planet 9

Apr 23, 2024

research paper topics related to vlsi

Observations explore globular cluster system in the galaxy NGC 4262

Let us know if there is a problem with our content.

Use this form if you have come across a typo, inaccuracy or would like to send an edit request for the content on this page. For general inquiries, please use our contact form . For general feedback, use the public comments section below (please adhere to guidelines ).

Please select the most appropriate category to facilitate processing of your request

Thank you for taking time to provide your feedback to the editors.

Your feedback is important to us. However, we do not guarantee individual replies due to the high volume of messages.

E-mail the story

Your email address is used only to let the recipient know who sent the email. Neither your address nor the recipient's address will be used for any other purpose. The information you enter will appear in your e-mail message and is not retained by Phys.org in any form.

Newsletter sign up

Get weekly and/or daily updates delivered to your inbox. You can unsubscribe at any time and we'll never share your details to third parties.

More information Privacy policy

Donate and enjoy an ad-free experience

We keep our content available to everyone. Consider supporting Science X's mission by getting a premium account.

E-mail newsletter

IMAGES

  1. (PDF) Review Paper on Low Power VLSI Design Techniques

    research paper topics related to vlsi

  2. Vlsi ieee project topics 2015 and 2016

    research paper topics related to vlsi

  3. 🌈 Easy paper topics. 162 Intriguing Science Research Paper Topics for

    research paper topics related to vlsi

  4. (PDF) Full-custom design project for digital VLSI and IC design courses

    research paper topics related to vlsi

  5. stc-vlsi-design-report by Manav Rachn University

    research paper topics related to vlsi

  6. Research papers on vlsi design

    research paper topics related to vlsi

VIDEO

  1. How I wrote my FIRST Research Paper!!!

  2. Research Paper Topics 😮😮😯 Best for Beginners 👍

  3. Online Workshop on Research Paper Writing & Publishing Day 1

  4. Online Workshop on Research Paper Writing & Publishing Day 2

  5. (P2) Introduction to CMOS VLSI Design

  6. Weeks 9-10

COMMENTS

  1. VLSI for Next Generation CE

    The current research in VLSI explores emerging trends and novel ideas and concepts covering a broad range of topics in the area of VLSI: from VLSI circuits, systems, and design methods, to system-level design and systemon- chip issues, to bringing VLSI methods to new areas and technologies such as nano and molecular devices, MEMS, and quantum computing. Future design methodologies are also key ...

  2. Current issues and emerging techniques for VLSI testing

    Abstract. Circuit designers are always faced with new obstacles as a result of the persistent trend in today's nanoscale technology to follow Moore's law. The complexities inherent in the production process have increased dramatically due to the rapid downscaling of integration. Parallel to this, the complexity and unpredictability of silicon ...

  3. 68784 PDFs

    Explore the latest full-text research PDFs, articles, conference papers, preprints and more on VLSI TECHNOLOGY. Find methods information, sources, references or conduct a literature review on VLSI ...

  4. AI/ML algorithms and applications in VLSI design and technology

    The impact of AI on VLSI design was first demonstrated in 1985 by Robert. S. Kirk [15]. He briefly explained the scope and necessity for AI techniques in CAD tools at different levels of VLSI design. His paper included a brief on the existing VLSI-AI tools and stressed the importance of incorporating the expanded capabilities of AI in CAD tools.

  5. Implementation of AI in the field of VLSI: A Review

    The Very Large Scale Integration (VLSI) industry has started adapting the Artificial Intelligence (AI) techniques in design automation as it provides the opportunity to transform the whole chip design methodology. It has been seen that in System-On-Chip (SoC), in order to add ML algorithms to increase its efficiency, there is a need to reduce the existing power consumption of the hardware as ...

  6. AI/ML Algorithms and Applications in VLSI Design and Technology

    The impact of AI on VLSI design was first demonstrated in 1985 by Robert. S. Kirk [15]. He briefly explained the scope and necessity for AI techniques in CAD tools at different levels of VLSI design. His paper included a brief on the existing VLSI-AI tools and stressed the importance of

  7. VLSI-SoC: Design Trends

    The VLSI-SoC 2020 proceedings present cutting-edge research on very large scale integration, low-power design of RF, and more. VLSI-SoC: Design Trends: 28th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2020, Salt Lake City, UT, USA, October 6-9, 2020, Revised and Extended Selected Papers | SpringerLink

  8. Electronics

    The focus of this Special Issue is on the research challenges related to the design of emerging microelectronics and VLSI circuits and systems that meet the demanding specifications of innovative applications. This Special Issue considers challenges in the fields of low power consumption, small integration area, testing and security, without ...

  9. Electronics

    The focus of this Special Issue is on the research challenges related to the design of emerging microelectronics and VLSI circuits and related systems that meet the demanding specifications of innovative applications. This Special Issue considers challenges in the fields of low power consumption, small integration areas, testing and security ...

  10. Low power VLSI circuits design strategies and methodologies: A

    Researchers stare at the design of low power devices as they are ruling the today's electronics industries. In VLSI circuits, power dissipation is a critical design parameter as it plays a vital role in the performance estimation of the battery operated devices particularly used in biomedical applications. The decrease in chip size and increase in chip density and complexity escalate the ...

  11. 19223 PDFs

    Explore the latest full-text research PDFs, articles, conference papers, preprints and more on VLSI DESIGN. Find methods information, sources, references or conduct a literature review on VLSI DESIGN

  12. Frontiers in Electronics

    Research Topics. Part of an innovative journal that explores the role of electronics in technological innovation, this section introduces topics related to integrated circuits and VLSI.

  13. Electronics

    This Special Issue solicits original and unpublished papers on high-performance and low-power VLSI architectures and the relevant algorithmic optimizations in the field of wireless communications and digital signal processing. The topics of interest include but are not limited to: VLSI architectures for 5G and 6G telecommunications;

  14. cmos vlsi Latest Research Papers

    Cmos Vlsi. Since CMOS technology consumes less power it is a key technology for VLSI circuit design. With technologies reaching the scale of 10 nm, static and dynamic power dissipation in CMOS VLSI circuits are major issues. Dynamic power dissipation is increased due to requirement of high speed and static power dissipation is at much higher ...

  15. Implementation of Machine Learning in VLSI Integrated ...

    Machine learning has made an impact on the area attributed to microchip, and it is initially used in automation. These techniques will eventually supplant the current VLSI design concept. Design creation has been automated by substituting time-consuming traditional concepts developed by experts. This development could result in a tremendous change in the realm of hardware computation and AI ...

  16. Review Paper on Low Power VLSI Design Techniques

    14. Review Paper on Low Power VL SI De sign T echniques. Neha Thakur 1, Deepak Kumar 2. 1 Assistant Professor, ECE Deptt., SRMS WCET, Bareilly, India. 2 Assistant Professor, ECE Deptt., Rama ...

  17. VLSI Layout: Concept to Realization

    Very large-scale integration, commonly referred to as VLSI, means a process in which an integrated chip is made by merging myriads of Metal Oxide Semiconductor transistors. An example of VLSI device is a microprocessor. In India, VLSI and EDA occupy the largest segment of the Indian semiconductor design industry. While VLSI industry is large in Bangalore, Noida is in charge of the largest EDA ...

  18. (PDF) Advanced VLSI Design and Testability Issues

    Recommended Topics: Topics to be discussed in this edited book include the following: • Review of digital design • Introduction to Hardware Description Languages • Programming techniques in ...

  19. VLSI architecture: past, present, and future

    This paper examines the impact of VLSI technology on the evolution of computer architecture and projects the future of this evolution. We see that over the past 20 years, the increased density of VLSI chips was applied to close the gap between microprocessors and high-end CPUs. Today this gap is fully closed and adding devices to uniprocessors is well beyond the point of diminishing returns ...

  20. Latest Research topics in vlsi design

    latest research topics in vlsi design. If we narrow down our discussion to research in areas like electronics, electrical, computer science, artificial intelligence , wireless communication and related fields, which are the base of everything in this high-tech world. In these fields researchers have developed applications (aided with technology ...

  21. VLSI Research Topics Ideas [MS PhD]

    List of Research Topics and Ideas of VLSI for MS and Ph.D. Thesis. High-throughput VLSI architecture for soft-decision decoding with ORBGRAND. Approximate Pruned and Truncated Haar Discrete Wavelet Transform VLSI Hardware for Energy-Efficient ECG Signal Processing. ADMM-Based Infinity-Norm Detection for Massive MIMO: Algorithm and VLSI ...

  22. vlsi testing Latest Research Papers

    Find the latest published documents for vlsi testing, Related hot topics, top authors, the most cited documents, and related journals ... and are vulnerable to invasive as well as non-invasive attacks based on Boolean satisfiability/VLSI testing. In this paper, we propose, fabricate, and demonstrate a new cell camouflaging strategy, termed as ...

  23. IBM Research at VLSI 2020

    VLSI 2020: IBM Research highlights nanosheet, AI processor and photonics advances. At the 2020 Symposia on VLSI Technology and Circuits this week, IBM Research is presenting a variety of papers, short courses, workshops and virtual sessions that demonstrate the latest advances in systems research. Our research spotlights key developments for ...

  24. Electronics

    We invite researchers from various fields to present their research papers, reviews, and perspectives regarding VLSI and microelectronic-embedded circuits for IoT-assisted business accounts. The list of potential topics for this Special Issue includes, but is not limited to, the following:

  25. Advanced CMOS VLSI Technology for Low Power Analog System Design with

    This research article provides an insight about the important challenges involved in the low power analog system design using advanced CMOS VLSI approach. Reduction in the dimension of MOS base channel and reduction in gate oxide results in greater advancement in terms of area of the chip, operating speed, and reduction of power consumption (mainly in digital components). In other words, few ...

  26. Research investigates radio emission of the rotating radio transient

    Using the Five-hundred-meter Aperture Spherical radio Telescope (FAST), Chinese astronomers have investigated radio emission from a rotating radio transient known as RRAT J1854+0306. Results of ...